• Venezuela
  • |
  • Distrito Capital
  • |
  • Caracas

Box Score Transvalven Vs. Wincor Nixdorf 16-01-2016 - Eliminatoria Apertura C3 2016

PG:

PP:

Dia: Sabado Fecha: 16-01-2016 Hora: 09:50 AM

Campeonato: Interempresas Apertura 2016 C3

Etapa: Eliminatoria Apertura C3 2016

Estadium: Rafael Fernandez Cid - CSP

Umpires: HP: Jhonan Rivas, 1B: Carlos Rivas

Anotador: Eduardo Briceno

Duracion: 0H : 1M

No hay EstadisticasNo hay Estadisticas


Pagina Generada en 0.04 Segundos